Si MEMS Wafer gezüchtet von FZ

Si MEMS Wafer gezüchtet von FZ

FZ gewachsen hochohmig Siliziumwafer wird von PAM-XIAMEN für die Herstellung von MEMS (Micro-Electro Mechanical System) angeboten. Siliziumwafer sind das gängige Material zur Herstellung integrierter Schaltkreise in der Unterhaltungselektronik. Aufgrund der Verfügbarkeit und des wettbewerbsfähigen Preises mit hoher Qualität des Siliziummaterials ist es für MEMS-Anwendungen sehr attraktiv. Weitere Parameter des Silizium-MEMS-Wafers finden Sie in der folgenden Tabelle:

MEMS-Wafer

MEMS-Wafer

1. Spezifikation des MEMS-Wafers

PAMP21445-SI

Artikel 4” Si HRS-Wafer
Growth-Methode FZ
Typ Intrinsisch / Undotiert
Dicke 525 ± 25 μm
Orientierung <100>
Der spezifische Widerstand >60000 Ohm*cm
Oberflächenfertiges DSP

 

Das Diagramm zeigt den radialen Widerstand von MEMS-Siliziumwafern:

Radiale Widerstandsverteilung von MEMS-Wafern

Radiale Widerstandsverteilung von MEMS-Wafern

Durch FZ gezüchteter Si-MEMS-Wafer mit guter Widerstandstoleranz hat keinen Sauerstoff, was ihn hervorragend für Solarzellen mit hocheffizienter Struktur, HF-MEMS-Gerät und Fotodiode macht. Außerdem weist einkristallines Silizium eine geringe Energiedissipation auf. Die MEMS-Waferbonding-Technologie wird das Waferbonden in MEMS durchführen, die Produktgröße und das Gewicht reduzieren und den Komfort von MEMS-basierten Produkten erhöhen.

2. Über das mikroelektromechanische System

MEMS ist ein Mikrogerät oder -system, das Schnittstellenkommunikation, Mikrosensoren, mikromechanische Strukturen, Mikroaktoren, Mikrostromquellen, Signalverarbeitungs- und Steuerschaltungen sowie integrierte elektronische Hochleistungsgeräte integriert.

MEMS konzentriert sich auf die Ultrapräzisionsbearbeitung in den Bereichen Mikroelektronik, Werkstoffe, Mechanik, Chemie, Mechanik usw. Seine Disziplinen umfassen die verschiedenen Zweige der Physik, Chemie und Mechanik wie Kraft, Elektrizität, Licht, Magnetismus, Schall und Oberfläche im Mikromaßstab.

Gängige Arten von MEMS-Produkten haben MEMS-Beschleunigungsmesser, MEMS-Mikrofon, MEMS-Gyroskop, MEMS-Drucksensor, MEMS-optischer Sensor, MEMS-Feuchtigkeitssensor, MEMS-Gassensoren, Mikromotor, Mikropumpe, Mikrovibrator usw.

3. Siliziumwafer-Stress in MEMS-Anwendungen

Bei MEMS-Anwendungen muss ein Einkristall-Siliziumwafer eine geringe Spannung aufweisen. Wenn die Spannung im Silizium-Einzelwafer zu groß ist, wird die MEMS-Strukturschicht verformt oder sogar gebrochen, was zu einem Geräteausfall führt. Daher ist für die MEMS-Wafer-Fertigung die Steuerung der Vorbereitungsprozessbedingungen von Silizium-Wafern mit hohem Widerstand, um sie weniger Spannungen zu verleihen, zu einem Schlüsselproblem im MEMS-Herstellungsprozess geworden.

Um die Belastung von Siliziumwafern für optische MEMS-Schalter zu reduzieren, müssen wir mit den folgenden Aspekten beginnen. Da beim Siliziumkristallziehverfahren die Außenseite des Kristalls schneller abkühlt als die Innenseite, wird ein großer Temperaturgradient in radialer Richtung des Kristalls erzeugt, und daraus wird eine große thermische Spannung erzeugt. Daher muss eine Wärmebehandlungstechnologie verwendet werden, um die thermische Spannung des Kristalls zu reduzieren oder zu beseitigen. . Gleichzeitig verursacht die ungleichmäßige Dotierungskonzentration auch die innere Spannung des MEMS-Wafers. Die radiale Gleichmäßigkeit des spezifischen Widerstands muss kontrolliert werden, um den Zweck der Verringerung der thermischen Spannung des Kristalls zu erreichen. Außerdem entsteht bei der Bearbeitung von Siliziumwafern auch ein gewisser mechanischer Stress. Durch Optimierung der Prozessparameter kann die mechanische Belastung der Silizium-MEMS-Wafer reduziert werden.

Powerwaywafer

Für weitere Informationen kontaktieren Sie uns bitte per E-Mail unter victorchan@powerwaywafer.com und powerwaymaterial@gmail.com.

Teile diesen Beitrag