Si MEMS Wafer cultivado por FZ

Si MEMS Wafer cultivado por FZ

FZ cresceu alta resistência wafer de silício é oferecido pela PAM-XIAMEN para a fabricação de MEMS (Micro-electro Mechanical System). O wafer de silício é o material comum para a fabricação de circuitos integrados em produtos eletrônicos de consumo. Devido à disponibilidade e preço competitivo com alta qualidade do material de silício, é muito atraente para aplicações de MEMS. Mais parâmetros do wafer MEMS de silício, consulte a tabela abaixo:

MEMS Wafer

MEMS Wafer

1. Especificação de MEMS Wafer

PAMP21445-SI

Item 4 "Si HRS Wafer
Método crescimento FZ
Tipo Intrínseco / não dopado
Espessura 525 ± 25 μm
Orientação <100>
Resistividade > 60000 ohm * cm
Acabamento de superfície DSP

 

O diagrama mostra a resistência radial da pastilha de silício MEMS:

Distribuição da resistência radial da bolacha MEMS

Distribuição da resistência radial da bolacha MEMS

O wafer Si MEMS com uma boa tolerância de resistividade cultivado por FZ não tem oxigênio, o que o torna excelente para células solares com estrutura de alta eficiência, dispositivo RF MEMS e fotodiodo. Além disso, o silício de cristal único tem pouca dissipação de energia. A tecnologia de ligação de wafer MEMS fará a ligação de wafer em MEMS, reduzindo o tamanho e o peso do produto e aumentando a conveniência do produto baseado em MEMS.

2. Sobre o sistema microeletromecânico

MEMS é um micro dispositivo ou sistema que integra interfaces de comunicação, micro sensores, microestruturas mecânicas, micro atuadores, micro fontes de alimentação, processamento de sinal e circuitos de controle e dispositivos eletrônicos integrados de alto desempenho.

MEMS se concentra na usinagem de ultraprecisão, envolvendo microeletrônica, materiais, mecânica, química, mecânica e assim por diante. Suas disciplinas cobrem os vários ramos da física, química e mecânica, como força, eletricidade, luz, magnetismo, som e superfície em micro-escala.

Tipos comuns de produtos MEMS têm acelerômetro MEMS, microfone MEMS, giroscópio MEMS, sensor de pressão MEMS, sensor óptico MEMS, sensor de umidade MEMS, sensores de gás MEMS, micro motor, micro bomba, micro vibrador, etc.

3. Tensão da pastilha de silício em aplicações de MEMS

Em aplicações de MEMS, um único wafer de silício de cristal é necessário para ter uma pequena tensão. Se a tensão no wafer único de silício for muito grande, a camada de estrutura MEMS será deformada ou mesmo quebrada, causando falha do dispositivo. Portanto, para a fabricação de pastilhas de MEMS, controlar as condições do processo de preparação de pastilhas de silício de alta resistência para torná-las menos tensas tornou-se uma questão fundamental no processo de fabricação de MEMS.

Para reduzir o estresse de wafers de silício para interruptores ópticos MEMS, devemos começar com os seguintes aspectos. No processo de desenho do cristal de silício, como o lado externo do cristal esfria mais rápido do que o interno, um grande gradiente de temperatura é gerado na direção radial do cristal e um grande estresse térmico é gerado a partir disso. Portanto, a tecnologia de tratamento térmico deve ser usada para reduzir ou eliminar o estresse térmico do cristal. . Ao mesmo tempo, a concentração desigual de dopagem também causará o estresse interno da pastilha de MEMS. A uniformidade radial da resistividade deve ser controlada para atingir o objetivo de reduzir o estresse térmico do cristal. Além disso, um certo estresse mecânico também será gerado durante o processamento das pastilhas de silício. O estresse mecânico dos wafers MEMS de silício pode ser reduzido otimizando os parâmetros de processamento.

powerwaywafer

Para obter mais informações, entre em contato conosco pelo e-mail victorchan@powerwaywafer.com e powerwaymaterial@gmail.com.

Compartilhe este post