What is Ion Implantation Process of Silicon Wafer?

What is Ion Implantation Process of Silicon Wafer?

Semiconductor doping is a key process step in the production of integrated circuits. In the semiconductor production process, crystalline silicon is used as the substrate material of the wafer, and its electrical conductivity is very poor. Silicon becomes a useful semiconductor only when small amounts of impurities are added that alter its structure and conductivity. This process of adding impurities to a silicon crystal wafer is called doping. In the process of wafer processing, there are two ways to introduce impurity elements into the wafer, namely thermal diffusion and ion implantation, as shown in the following figure. Therein, ion implantation is an important doping technology in modern integrated circuit (IC) manufacturing. PAM-XIAMEN can offer silicon wafer for IC fabrication.

Silicon Ion Implantation Process Diagram

Silicon Ion Implantation Process

1. What Is Silicon Ion Implantation Process?

Ion implantation is essentially a process of physical bombardment, which is to dope charged ions with certain energy into silicon. The implantation energy is between 1keV and 1MeV, and the corresponding average ion distribution depth is between 10nm and 10um. When impurity ions are implanted into the material, the ions will be absorbed by the material and become part of the material, thereby optimizing the surface properties of the material by changing the surface composition and crystal structure of the material.

The implantation-related processes generally include the following: multiple implantations, masking layers, tilt angle implantations, high-energy implantations, and high-current implantations.

2. Uses of Ion Implantation

There are several uses of ion implantation:

* Multiple implantations to form a special distribution;

* Choose appropriate masking material and thickness to block a certain proportion of incident ions from entering the substrate;

* Implantation at an oblique angle to form an ultra-shallow junction;

* High-energy implantation to form a buried layer;

* High current implantation is used for pre-deposition in diffusion technology, threshold voltage adjustment, and insulating layer formed for SOI applications (SOI: Silicon-On-Insulator, silicon on insulating substrate, this technology is in the top layer of silicon and A buried oxide layer is introduced between the back substrates).

3. Advantages of Ion Implantation

Compared with thermal diffusion, the most significant advantage of ion implantation technology is that it can achieve the purpose of silicon wafer doping in a smaller process size process. In addition, other advantages of the ion implantation process also make it more suitable for advanced semiconductor manufacturing processes. Specifically please refer to table 1

Table 1 Advantages of Ion Implantation Process

Advantages Description
Precise control of impurity content Can accurately control the concentration of implanted impurities in a wide range, the error is between ±2%
Very good impurity homogeneity Control the uniformity of impurities by scanning
Good control over the penetration depth of impurities Control the penetration depth of impurities by controlling the ion energy during implantation, increasing design flexibility
Generate a single ion beam Mass separation technology produces a pure ion beam free of contamination. Different impurities can be selected for implantation
Low temperature process Ion implantation is performed at moderate temperature. Different lithography masks are allowed.
Implanted ions can pass through the membrane Impurities can be implanted through the film, which allows MOS transistor threshold voltage adjustment after gate oxide growth, increasing implant flexibility
No solid solubility limit The content of implanted impurities is not limited by the solid solubility of the silicon wafer

 

For more information, please contact us email at victorchan@powerwaywafer.com and powerwaymaterial@gmail.com.

Share this post